Autores
Leyva Santes Neiel Israel
Hernández Calderón César Alejandro
Ramírez Salinas Marco Antonio
Villa Vargas Luis Alfonso
Título Lagarto I RISC-V Multi-core: Research Challenges to Build and Integrate a Network-on-Chip
Tipo Congreso
Sub-tipo Memoria
Descripción 10th International Conference on Supercomputing, ISUM 2019
Resumen Current compute-intensive applications largely exceed the resources of single-core processors. To face this problem, multi-core processors along with parallel computing techniques have become a solution to increase the computational performance. Likewise, multi-processors are fundamental to support new technologies and new science applications challenges. A specific objective of the Lagarto project developed at the National Polytechnic Institute of Mexico is to generate an ecosystem of high-performance processors for the industry and HPC in Mexico, supporting new technologies and scientific applications. This work presents the first approach of the Lagarto project to the design of multi-core processors and the research challenges to build an infrastructure that allows the flagship core of the Lagarto project to scale to multi- and many-cores. Using the OpenPiton platform with the Ariane RISC-V core, a functional tile has been built, integrating a Lagarto I core with memory coherence that executes atomic instructions, and a NoC that allows scaling the project to many-core versions. This work represents the initial state of the design of mexican multi-and many-cores processors.
Observaciones DOI 10.1007/978-3-030-38043-4_20 Communications in Computer and Information Science v. 1151
Lugar Monterrey
País Mexico
No. de páginas 237-248
Vol. / Cap. v. 1151 CCIS
Inicio 2019-03-25
Fin 2019-03-29
ISBN/ISSN 9783030380427